CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 秒表 vhdl

搜索资源列表

  1. eclock

    0下载:
  2. MAXPULS II 下VHDL实现多功能电子钟的源代码,包括时钟,秒表,日历等多种功能-MAXPULS II under VHDL multifunctional electronic clock source code, including the clock, stopwatch, multiple functions such as calendar
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3075
    • 提供者:余远恒
  1. EDA_miaobiao

    0下载:
  2. 《数字电路EDA入门-VHDL程序实例》---数字秒表程序例子-"digital circuit EDA portal-VHDL program examples" -- digital stopwatch procedures example
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1381
    • 提供者:张文
  1. byvhdstopwatchl

    0下载:
  2. 1.高精度数字秒表(0.01秒的vhdl语言实现) 2.具有定时,暂停,按键随机存储,翻页回放功能; 3.对30M时钟分频产生显示扫描时钟 4.精度高达0.01s,并且可以通过改变主频来更改分频比和记数间隔,可控性高。 5.模块化设计,其中的许多函数可以成为vhdl语言的通用经典例子(包含分频电路设计,动态扫描时钟设计,译码电路设计,存储器设计,存储回放显示设计)-1. High-precision digital stopwatch (0.01 seconds vhdl la
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1995
    • 提供者:方周
  1. VHDLEXAMPLEppt

    1下载:
  2. 介绍8位加法器、分频电路、数字秒表的PPT,带源码,解释详细,一步一步学习,是学习VHDL的好-introduced eight Adder, the frequency divider circuit, digital stopwatch, the PPT, with the source code, explained in detail, step by step, learning, VHDL is a good learning Eastern
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:527607
    • 提供者:刘一
  1. DigitalssStopwatch

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。-the stopwatch timer for the various sports competitions and requires more accurate at the various fields. This timer is a dedicated chip, using the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:6951
    • 提供者:段苛苛
  1. SIJTQ6tQ

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 一、 功能说明 已完成功能 1. 完成秒/分/时的依次显示并正确计数; 2. 秒/分/时各段个位满10正确进位
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:678406
    • 提供者:luoliang
  1. clock

    0下载:
  2. 基于vhdl的数字钟 有闹钟,秒表,时钟,日期等功能 秒表可以开始,暂停,清零, 时钟可以设置时间, 还可以设置日期
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3297
    • 提供者:张廷
  1. watch

    1下载:
  2. vhdl语言编写的一个秒表源码,包括在LCD上显示的部分,附带TB源码,对初学者比较实用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:98984
    • 提供者:ronniy
  1. C2

    0下载:
  2. 功能更加完善的基于vhdl的数字时钟设计 有秒表,时钟,时期,闹钟的功能和整点报时,时间调整,日期调整,闹钟的设定 、、、、、、、 秒表有开始,暂停,清零等功能,且只有在暂停的情况下才能清零。
  3. 所属分类:其它

    • 发布日期:2014-01-17
    • 文件大小:817138
    • 提供者:张廷
  1. VHDLdesign

    2下载:
  2. EDA课程设计,包含源码和文档说明,实现秒表计数和闹钟功能,使用VHDL语言编写 已完成功能 1. 完成时/分/秒的依次显示并正确计数,利用六位数码管显示; 2. 时/分/秒各段个位满10正确进位,秒/分能做到满60向前进位,有系统时间清零功能; 3. 定时器:实现整点报时,通过扬声器发出高低报时声音; 4. 时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整; 5. 闹钟:实现分/时闹钟设置,在时钟到达设定时间时通过扬声器响铃。有静音模式
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18382
    • 提供者:liuxin
  1. time

    0下载:
  2. vhdl语言编写秒表程序 内含每个模块的源程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:235584
    • 提供者:BILL
  1. 1.

    0下载:
  2. 用VHDL硬件描述语言完成秒表的设计,分6个模块
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:49377
    • 提供者:刘小
  1. watch

    0下载:
  2. 一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:412886
    • 提供者:YUJIAN.XU
  1. shuzimiaobiao

    0下载:
  2. 数字秒表的VHDL设计,能精确到百分秒,在6位数码管上显示,分别有秒,分,小时,通过目标芯片EPF10KLC84-4验证
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:460857
    • 提供者:ellala
  1. 用VHDL实现秒表功能

    0下载:
  2. MEI SM
  3. 所属分类:VHDL编程

  1. clock.rar

    0下载:
  2. 用vhdl实现的多功能时钟,有整点响铃,秒表等多种功能,Use VHDL to achieve multi-functional clock, there is the whole point of the bell to ring, a variety of functions such as stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2561
    • 提供者:liaocongliang
  1. EDAreport

    0下载:
  2. 用VHDL实现秒表功能,即使时间为60分钟,实验报告格式,代码在文档最后。仿真软件使用quartus2-Using VHDL stopwatch function, even if the time is 60 minutes, the test report form, the code at the end of the document. Simulation software use quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:116666
    • 提供者:hedy
  1. VHDL_MIAOBIAO_CODE

    0下载:
  2. 基于CYCLONE系列FPGA EP1C3T144C8的VHDL秒表代码-CYCLONE series based on the FPGA EP1C3T144C8 stopwatch VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:423490
    • 提供者:沈世荣
  1. 课程设计-数字钟

    2下载:
  2. 具有计时 设置时间 闹钟 秒表 功能的数字钟设计 外设矩阵键盘(Digital clock design peripheral matrix keyboard with the function of timing setting time alarm clock stopwatch)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-15
    • 文件大小:13769728
    • 提供者:peennnnnn
  1. VHDL秒表计时

    0下载:
  2. 用VHDL实现秒表计时,包括对于时钟分频的体现和对秒表计数的体现,最终是将编码译码到七段数码管
  3. 所属分类:其它源码

« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com